Please use this identifier to cite or link to this item: http://hdl.handle.net/1843/ESBF-9WVNB8
Type: Dissertação de Mestrado
Title: SMOV: protecting programs against buffer overflow in hardware
Authors: Antonio Lemos Maia Neto
First Advisor: Leonardo Barbosa e Oliveira
First Co-advisor: Omar Paranaiba Vilela Neto
First Referee: Omar Paranaiba Vilela Neto
Second Referee: Antonio Otavio Fernandes
Third Referee: Ricardo Augusto Rabelo Oliveira
metadata.dc.contributor.referee4: Sergio Vale Aguiar Campos
Abstract: Estouro de Arranjos (Buffer Overflow BOF) continua a ser uma das principais vulnerabilidades encontradas em software. Ano passado, a comunidade de Segurança da Informação foi surpreendida quando pesquisadores revelaram uma vulnerabilidade de BOF no OpenSSL.Linguagens de programação como C e C++, amplamente usadas para desenvolvimento de sistemas e em uma grande variedade de aplicações, não proveem Verificação de Limites de Arranjos (Array Bound Checks ABCs) nativamente. Existem inúmeras propostas quevisam a proteção de memória para essas linguagens, através de soluções baseadas em software ou hardware. Ainda assim, entretanto, essas técnicas acabam por comprometer o desempenho dos programas, o que não é uma solução ideal para o problema. Este trabalho apresenta uma nova abordagem para alcançar verificação de limites de arranjos e acesso à memória (quando permitido) através de uma única instrução. Nós discutimos como ela pode ser implementada em arquiteturas com tamanho variável de instruções e disponibilizamosuma implementação de referência.
Abstract: A Buffer Overflow (BOF) continues to be among the top causes of software vulnerabilities. Last year the security world was taken by surprise when researches unveiled a BOF in OpenSSL. Languages like C and C++, widely used for systems development and for a large variety of applications, do not provide native Array-Bound Checks (ABC). A myriadof proposals endeavor memory protection for such languages by employing both softwareand hardware-based solutions. Due to numerous reasons, none of them have yet reached the mainstream. In this work, we propose a novel approach to achieve an arrays bound-check and a memory access (when allowed) within a single instruction. We discuss how it can be implemented on variable-length ISAs and provide a reference implementation.
Subject: Computação
Computadores Medidas de segurança
Redes de computadores Medidas de segurança
language: Português
Publisher: Universidade Federal de Minas Gerais
Publisher Initials: UFMG
Rights: Acesso Aberto
URI: http://hdl.handle.net/1843/ESBF-9WVNB8
Issue Date: 24-Feb-2015
Appears in Collections:Dissertações de Mestrado

Files in This Item:
File Description SizeFormat 
antoniolemosmaianeto.pdf2.31 MBAdobe PDFView/Open


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.